獨占全球光刻機90%!ASML一路狂奔 依然看不到任何對手

作為全球最大的半導體光刻系統供應商,ASML同時也是全球唯一的極紫外光刻 (EUV) 機供應商,EUV光刻系統也被認為是目前最先進的芯片制造工具之一,可以讓芯片制造商在7nm及以下先進制程芯片制造中占據優勢,并使得摩爾定律能夠得以延續,

ASML的壟斷地位

ASML是全球領先的半導體光刻系統供應商,每家主要半導體制造公司都有使用ASML的光刻機制造芯片。準確地說,ASML在半導體光刻市場擁有超過90%的市場份額,其余玩家為佳能和尼康,

同時,ASML也是單價過億美元的EUV光刻機市場的壟斷者,

根據財報顯示,ASML 2021年三季度銷售額52.41億歐元,同比增長32.4%;凈利潤為17.40億歐元,同比增長63.8%,三季度新增訂單金額61.79億歐元,而在這61.79億歐元的新增訂單中,EUV光刻機的訂單金額占據了近一半,達到了29億歐元。

ASML也表示,三季度,EUV光刻機系統的出貨量和收入創下歷史新高,目前,ASML主力EUV光刻機是TWINSCAN NXE:3600D,其在客戶那里也達到了每小時加工160片晶圓的創紀錄效率,

另外,在DUV(深紫外)光刻機方面,ASML已經累計完成出貨1000臺ArF系統(氟化氬浸沒式光刻系統),

展望未來,ASML預計2021年有望實現約35%的增長,第四季度凈銷售額在49-52億歐元區間,毛利率為51%-52%;研發成本約為6.7億歐元,銷售和管理成本約為1.95億歐元,

相比之下,ASML在光刻領域的競爭對手,佳能和尼康的光刻機業務規模與ASML相去甚遠,

資料顯示,2020年全球光刻機總銷售量為413臺,其中ASML銷售258臺占比62%,佳能銷售122臺占比30%,尼康銷售33臺占比8%,按照銷售額來計算的話ASML的份額高達91%,佳能只有3%、尼康也僅有6%。

先進制程投資快速增長,EUV系統采用率持續上升

當前,隨著PC和智能行動電話產品的持續升級迭代,以及人工智能、5G通信、自動駕駛、云服務等需求的快速增長,全球對于先進制程芯片的需求正快速成長,臺積電、三星、英特爾等頭部的先進制程芯片制造商之間的競爭也在加劇,

此前全球最大的晶圓代工廠臺積電已投資120億美元開始在美國建設新的5nm晶圓廠,今年的資本支出也提高到了280億美元,并宣布在未來三年的資本支出將達到創紀錄的1000億美元。

臺積電表示,這種資本支出并非針對當前芯片短缺,而是一項長期投資,旨在利用未來幾年對先進芯片的預期需求增長,

作為全球第二大半導體廠商,三星今年對于存儲與晶圓代工等相關事業的資本支出也將達到近300億美元。另外,三星還宣布將投資170億美元在美國德克薩斯州新建一座新的先進制程晶圓廠。

未來三年,三星計劃對其旗下三星電子公司和其他附屬企業投資高達240兆韓圓(相當于2050億美元),借以鞏固未來的成長引擎,并擴大后疫情時代的科技領先地位,

處理器大廠英特爾今年開始也大幅加大了對于半導體制造的投資。在今年3月,英特爾新任CEO基辛格上臺之后,就推出了IDM 2.0計劃,不僅加速自身更先進的半導體工藝制程的推進,同時還重啟了晶圓代工業務,并宣布投資200億美元在美國新建兩座晶圓廠。

今年9月,英特爾還宣布未來10年將在歐洲投資800億歐元,建至少兩座先進晶圓廠,

隨著臺積電、三星、英特爾等頭部晶圓制造廠商對于先進制程工藝的追逐,對于EUV光刻機的需求更是快速上升。

例如,臺積電今年已承諾資本支出 280 億美元,其中約 80% 將用于公司最先進的芯片制造工藝——7nm、5nm 和 3nm。而臺積電第二代7nm及后續的5nm先進制程都是基于EUV工藝的,

作為全球第一家使用ASML EUV光刻機進行大批量生產的公司,臺積電聲稱其擁有全球50%以上的EUV光刻系統安裝量和60%的累計EUV晶圓生產量,


Source: Anandtech

臺積電計劃繼續保持在EUV光刻機數量上的領先地位,其已下訂單訂購的“至少”13臺EUV光刻機將會在今年交付。隨著其積極的資本支出計劃,臺積電似乎將繼續保持EUV產能領先地位,而其他芯片制造商也正努力迎頭趕上。

其中一個奮力追趕的是三星,該公司目前在EUV光刻機安裝量方面落后于臺積電,據業內官員稱,三星只擁有臺積電一半的數量。

目前三星正使用EUV光刻機來制造一些DRAM和7nm/5nm邏輯芯片。憑借其在代工廠上的 EUV 經驗,三星已于2020 年 8 月率先在 1z 節點上采用 EUV 進行DRAM生產,隨著三星在邏輯制程和DRAM制造中擴大EUV光刻機的使用,EUV光刻機的購買量在未來幾年將會持續增加,

英特爾也正在部署EUV系統用于其7nm節點生產芯片,預計將在未來幾年內進一步增加ASML的EUV光刻機訂單。

與此同時,DRAM巨頭SK Hynix和美光也計劃在量產中使用EUV光刻機,SK 海力士于今年7月開始量產基于 1a 節點的 8 Gb LPDDR4 移動 DRAM。今年7 月,由于 EUV 設施投資預付款,美光將其2021財年的資本支出指導從 90 億美元上調至 95 億美元。

未來幾年,隨著對高端芯片(例如 5G智能行動電話芯片、高性能計算芯片、先進DRAM)的需求增加,EUV光刻機的滲透率將不斷提高,ASML將成為半導體升級周期的主要受益者。

根據日本瑞穗銀行(Mizuho)的預測2022年和2023 年,主要邏輯廠商資本支出的 EUV 部分將分別上升至13% 和15%,

然而,目前ASML 每年的生產能力僅為50多個EUV光刻系統,其EUV光刻機一直處于供不應求當中,據了解,僅去年一年,三星就訂購了20臺ASML EUV光刻機,以實現其2022上半年量產3nm芯片的計劃,此前三星副會長李在镕還親自飛往 ASML 總部敦促其加快EUV光刻機的交付。這也凸顯了AMSL在EUV光刻領域的壟斷地位。

2nm爭奪戰的關鍵:ASML新一代EUV光刻機

由于EUV光刻系統中使用的極紫外光波長(13nm)相比DUV 浸入式光刻系統(193 nm)有著顯著降低,多圖案 DUV 步驟可以用單次曝光 EUV 步驟代替,可以幫助芯片制造商繼續向7nm及以下更先進制程工藝推進的同時,進一步提升效率和降低曝光成本。

自2017年ASML的第一臺量產的EUV光刻機正式推出以來,三星的7nm/5nm工藝,臺積電的第二代7nm工藝和5nm工藝的量產,乃至未來的3nm工藝都是由ASML的NXE:3XXX系列EUV光刻機提供的助力。

目前,臺積電、三星、英特爾等頭部的晶圓制造廠商也正在大力投資更先進的3nm、2nm技術,以滿足高性能計算和5G通信的先進芯片需求。而2nm工藝的實現則需要依賴于ASML新一代的EXE:5000系列EUV光刻機。

相比第一代的EUV光刻機(NXE:3XXX系列)來說,新一代的EUV光刻機的物鏡的NA(數值孔徑)將從0.33提升到0.55,使得芯片制造商能夠生產2nm及以下更先進制程的芯片,并且圖形曝光更低的成本、生產效率更高。

據了解,新一代的EUV光刻機大約有一輛公共汽車那么大,整個機器包含10萬個部件和2公里長的電纜,每臺機器發貨需要40個集裝箱、3架貨機或者20輛卡車,造價相比第一代的EUV光刻機也更高,達到了1.5億美元。

ASML新一代EUV光刻機的原型機,將在2022年進行測試,預計將會在2023年投入運行。

三星在10月初初已宣布,將在2022年上半年量產3nm工藝,并計劃在2025年搶先臺積電量產2nm。不過,臺積電在近日的法說會上回應稱,2025年臺積電2nm制程不論是密度或是效能,都將是最領先的技術,

值得注意的是,今年7月底的“英特爾加速創新:制程工藝和封裝技術線上發布會”上,英特爾已宣布將在2024年量產20A工藝(相當于臺積電2nm工藝),并透露其有望率先獲得業界第一臺High-NA EUV光刻機(即ASML新一代EUV光刻機),同時也將是業界首家將ASML新一代High-NA EUV光刻機應用到量產環節的廠商,

顯然,搶先獲得ASML新一代EUV光刻機,也正是英特爾篤定其制程工藝能夠超越臺積電、三星重回領先地位的關鍵。

成熟制程產能持續增長,DUV光刻系統需求旺盛

多年來,半導體行業一直處于蓬勃發展當中,過去兩年芯片制造商一直在提高產能利用率,特別是自去年下半年全球晶圓產能出現緊缺以來,各大晶圓制造廠商的產能利用一直在持續提升。

臺積電在給客戶的一封信中說,該公司的晶圓廠產能利用率已經超過了100%,但是市場需求仍超過供應,雖然芯片制造商都已通過提高容量利用率和軟體升級,以提高短期產量,但從長遠來看,全球晶圓廠將不得不擴建或建造新的晶圓廠來增加產能,以滿足芯片的需求增長,單靠提高利用率已無法滿足,

而在此輪的晶圓制造產能緊缺當中,尤其以28nm及以上的成熟制程產能最為緊缺。包括大量的基于成熟制程的車用芯片、MCU、功率器件(MOSFET、IGBT等)、電源管理芯片、傳感器、顯示驅動芯片、CIS、WiFi和藍牙芯片等。這其中來自于電動汽車市場對于各類車用芯片的需求占據了相當大的一部分。

Gartner 估計,每輛車的平均半導體含量將從 2020 年的 489 美元上升到 2025 年的 719 美元,汽車半導體收入需求將在 2020-2025 年間以 14% 的復合年增長率從 387 億美元增長到 755 億美元,超過其他關鍵需求領域的增長。整個半導體市場,例如智能行動電話 (7% CAGR)、消費電子 (7% CAGR)、PC (0% CAGR) 和整個半導體行業 (7% CAGR),

根據Gartner的預測,在370億美元的增量需求中,成熟工藝制程節點將占汽車半成品需求增量的67%,即250億美元。

在今年年初,為解決車用芯片緊缺問題,歐美政府甚至還要求臺積電優先為汽車廠商生產車用芯片。根據臺積電的數據,其今年車用MCU的產出相比去年將提升60%。

此外,為應對市場對于成熟制程旺盛的需求,今年4月,臺積電宣布將投入28.87億美元資本支出擴充成熟制程,預計將在南京廠建置月產4萬片的28nm成熟制程產能,

近日臺積電還宣布將在日本建一座新的22/28nm成熟制程晶圓廠,總投資或達454億元人民幣,其中日本政府可能將提供一半的補貼。臺積電還表示,該晶圓廠的投資并不包含在未來三年1000億美元的資本支出當中。

今年3月初時,成熟制程工藝晶圓代工大廠格芯宣布,今年將投資14億美元,對美國、新加坡和德國的三座晶圓廠工廠進行擴產。而這14億美元的投資,有1/3的資金是來自于客戶。6月,格芯又宣布進一步將對產能擴建的投資增加到60億美元,其中40億美元將用于在新加坡新建新的晶圓廠,該工廠計劃于2023年開工,剩下的20億美元,將分別投入到德國和美國工廠的擴產當中。隨后砸7月,格芯正式宣布,將在美國紐約州馬耳他的園區內新建一座工廠,同時將投資10億美元擴大已有的Fab 8晶圓廠的產能,擴產完成后,將新增15萬片晶圓的年產能,

今年5月,成熟制程工藝晶圓代工大廠聯電也宣布投資1000元億新臺幣(36億美元),擴充在臺南科學園區的 12吋廠Fab 12A P6廠區的28nm產能,預計每個月將增加2.7萬片。

根據SEMI預計,2021年和2022年將有29座晶圓廠動工,其中15座為晶圓代工廠,月產能達3萬至22萬片約當8吋晶圓;4座為存儲晶圓廠,每月可制造10萬至40萬片約當8吋晶圓,這些新建晶圓廠當中,成熟制程占據了大部分的份額,

而成熟制程芯片的制造則主要依賴于DUV光刻機,在持續的芯片短缺下,DUV光刻機需求激增,作為占據全球90%市場份額的光刻機廠商,ASML自然也將成為這輪成熟制程擴產潮當中的最大受益者之一。

小結:

目前ASML正處于一個“甜蜜點”,領先的邏輯半導體及DRAM制造商,正在由DUV向EUV工藝過渡。在完成這一過渡之前,EUV光刻機的需求將作為前端半設備支出的重要組成部分長期增長,同時,成熟制程產能的大幅擴建,也將繼續帶動對于ASML DUV光刻機需求的增長,

另外,ASML如今能夠擁有在EUV光刻領域的壟斷地位,除了花費了數十年和上百億美元的研發,還得益于臺積電、三星、英特爾等頭部的晶圓廠多年來的持續大力支持。而在可預見的未來,恐怕沒有競爭對手能夠威脅ASML 近乎壟斷的地位,隨著芯片變得越來越先進,芯片制造市場的份額,將越來越集中在少數能夠持續維持高昂的資本支出以在快速發展的半導體技術中保持競爭力的企業手中。

0 条回复 A文章作者 M管理員
    暫無討論,說說你的看法吧